blob: f2b2152531ae9125601cca8c3f8018be68ca0012 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
|
library IEEE ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
entity PortMap2 is
end entity PortMap2 ;
architecture t1 of PortMap2 is
component fred is
port (A : in signed(7 downto 0) ; B : out signed (7 downto 0) ) ;
end component fred ;
signal Result : signed (7 downto 0) ;
begin
fred1 : fred
port map (A => signed'("00001111"), B => Result ) ;
end architecture t1 ;
|