aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue223/repro.vhdl
blob: 734c240e138174ae9780a4d4fa9f11876d315b7f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;

PACKAGE test_pkg IS

  PROCEDURE test (
    arg : IN std_ulogic_vector := std_ulogic_vector(conv_unsigned(-1, 8)));

END PACKAGE test_pkg;

PACKAGE BODY test_pkg IS

  PROCEDURE test (
    arg : IN std_ulogic_vector := std_ulogic_vector(conv_unsigned(-1, 8))) IS
  BEGIN
    
  END PROCEDURE test;

END PACKAGE BODY test_pkg;