aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2219/ent.vhdl
blob: f518e1b1cba77cec418c45a7edfca8d244ff4905 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test1 is
end entity;

architecture beh of test1 is
  signal sig  : std_logic;
begin
end architecture;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end entity;

architecture beh of test is
begin
  i_test : entity work.test1;

  p_proc : process
  begin
    <<signal i_test.sig : std_logic >> <= force '0';
    <<signal i_test.sig : std_logic >> <= release;
    wait;
  end process;
end architecture;