aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2163/memory_pkg.vhdl
blob: 952999770340ac96ee3af9d160b94857b7a6080e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

package MemoryGenericPkg is
  generic (
    type MemoryBaseType ;
    function ToMemoryBaseType(A : std_logic_vector) return MemoryBaseType is <> ;
    function FromMemoryBaseType(A : MemoryBaseType ; Size : integer) return std_logic_vector is <> ;
    function InitMemoryBaseType(Size : integer) return MemoryBaseType is <> 
  ) ;
-- Stuff
end package MemoryGenericPkg ;