aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2156/testsuite.sh
blob: 0bab2bc7f93b49def5cef215dd68376232db5522 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08
analyze timing_pkg.vhdl
analyze_failure tb_to_string_overloading.vhdl 

clean

analyze timing_pkg2.vhdl
analyze_failure tb_to_string_overloading.vhdl 

clean

echo "Test successful"