aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2156/tb_to_string_overloading.vhdl
blob: 17e911f19402946737c12c3f81a42c1aa4dd9ba0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
use work.timing_pkg.all;

entity tb_to_string_overloading is
end entity;

architecture tb of tb_to_string_overloading is
  constant freq : frequency := 40.0e6;
begin

  process
  begin
    --report to_string(freq, "");
    report to_string(freq);
    wait;
  end process;

end architecture;