aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2148/unused.vhdl
blob: 03860f23f089e1bf47c4cfc2bc2ff55f97982b76 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity e is end;

architecture a of e is

    procedure p is
        variable a : integer;
    begin
        null;
    end;
begin
    process
    begin
        p;
        wait;
    end process;
end;