aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2148/e2.vhdl
blob: 887d77841dce187362b1c517ff986b36e4925210 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity e2 is
  port (v : out natural);
end;

architecture behav of e2 is
begin
  process
  begin
    report (1 to v => 'X');
    wait;
  end process;
end;