aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2118/top.vhdl
blob: f0f8acafbfcc55206625867aa9157ad438d563bb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;

package types is
    subtype test_sub_t is std_logic_vector(3 downto 0);
    type test_array_t is array (integer range <>) of test_sub_t;
end package types;

------------------------------------------------------------------------------

library work;
use work.types.all;

entity ent is
    port (
        test_in: in test_array_t(0 to 0)
    );
end entity ent;

architecture rtl of ent is
begin
end architecture rtl;

--------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library work;
use work.types.all;
use work.ent;

entity top is
end entity top;

architecture rtl of top is
    signal a: signed(1 downto 0) := "00";
begin

    ent_0: entity ent
        port map (
            test_in(0) => test_sub_t(a & "00")
        );

end architecture rtl;