aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/sign02.vhdl
blob: 1567be6f66fe3883f62f90f0932af3cb644dc28f (plain)
1
2
3
4
5
6
7
entity e is
end;

architecture behav of e is
begin
  assert g[](0);
end;