aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/sign01.vhdl
blob: a0f46cfb02e610dd7651912d326ca03d569c4880 (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
port(cl0:out signed(0 to 0));end hello;architecture behav of hello is
signal v:unsigned(0 to 0);begin
process(cl0)begin
if g[](0)then if 0='0'then
v;end if;end if;end process;end behav;