aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/name01.vhdl
blob: ff5122fa40a8d8adb6009a6c3159b66ec43aa372 (plain)
1
2
3
4
library ieee;use ieee.numeric_std.all;use ieee.std_logic_1164.all;entity f is generic(type stream_t;z:boolean:=false);port(l:std_logic;s:std_logic;n:stream_t;t:stream_t;y:std_logic;r:std_logic;d:std_logic);end;architecture a of o't is type t;signal r:r;signal d:r;signal d:n;begin y<='0'when(0)and 0 else'0';m(0);process(l)is
begin
if(0)then if 0 then
end if;end if;if 0 then if 0 then end if;end if;end process;end;