aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr8.vhdl
blob: 09709850c827c4733a804a2b2788ed69a73e1d4a (plain)
1
2
3
4
library ieee;use ieee.std_logic_1164;use ieee.numeric_std_unsigned.all;entity le0el0 is generic(G:integer;G0:integer);port(c:std'l;s:c;--
w:i);end entity le0el0;architecture synthesis of l is
begin
end architecture synthesis;