aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr5.vhdl
blob: 63a4480735ab5310c422a5c5379631666f8a3f69 (plain)
1
2
3
4
5
library ieee;use ieee.std_logic_1164;entity t is
port(s:std'r);end entity;architecture a of t is
begin	i;end architecture;library i;entity b is
end entity;architecture h of b is
signal n:r(0);signal s:s(0);begin p(0);end architecture;