aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr23.vhdl
blob: 53462d0998b4b19c6d06c266f5ada5902b54e7e8 (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
port(c:std'l;t:d(0));end hello;architecture behav of h is
signal v:d(0);begin
process(c)begin
if(0)then
if'0'then('0')<=0;end if;end if;end process;end behav;