aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr14.vhdl
blob: a5893144afc395d01c9cb17f8c700b120d81097c (plain)
1
2
3
4
5
6
library ieee;use ieee.std_logic_1164;use ieee.numeric_bit.all;entity hello is
port(t:std'c;t:i(0));end hello;architecture behav of h is
signal v:d(0);begin
process(c)begin
if(0)then
if'0'then(0)<=0;end if;end if;end process;end behav;