aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr13.vhdl
blob: c193ee17ff352d21d1543178b83762ab3e3c01fe (plain)
1
2
3
4
library ieee;use ieee.numeric_std.all;use ieee.std_logic_1164.all;entity t is generic(e:boolean:=false);port(k:std'i);end;architecture a of g is type e is array(0)of m;signal w:r range 0 to 0;signal r:t;signal i:n;begin m<='0'when(0);process(a)begin if(0)then
if 0 then(0)<=0;end if;if 0 then if 0 then end if;end if;end if;if 0 then
if 0 then
end if;end if;end process;end;