aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/attr10.vhdl
blob: 617b90690f4888fa90960cd05352e4b7f7bf1d27 (plain)
1
2
3
4
library ieee;use ieee.std_logic_1164;use ieee.numeric_bit_unsigned.all;entity le0el0 is generic(G:integer;G0:integer);port(c:std'l;s:c;--
w:i);end entity le0el0;architecture synthesis of l is
begin
end architecture synthesis;