aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2115/tst93.vhdl
blob: 5fb36fbb8d409f2a5bb2ef2aafe59adbd03b5f0c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
entity tst93 is
end entity;

use std.textio.all;

architecture a of tst93 is
begin
  process
    variable l : line;
  begin
    write(l, false);
    assert l.all = "FALSE" severity failure;
    deallocate (l);
    write(l, true);
    assert l.all = "TRUE" severity failure;

    assert boolean'image(true) = "true" severity failure;
    assert boolean'image(false) = "false" severity failure;
    wait;
  end process;
end;