aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2104/e.vhdl
blob: 4c83a082474216b37ce427ae7e71d66379f0e18c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity e is
end;

architecture a of e is
    function outer(arg : integer) return integer is
        function inner(arg : integer) return integer is
        begin
            return outer(0);
        end;
    begin
        return inner(0);
    end;
begin
end;