aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2100/ent.vhdl
blob: 6b93d301490f22dfc8b4845a91986dc2283deb5c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library ieee;
context ieee.ieee_std_context;

entity ent is
  port (
    din  : in unsigned(15 downto 0);
    dout : out unsigned(31 downto 0)
  );
end ent;

architecture arch of ent is

begin

  dout <= resize(din, dout'subtype);

end architecture;