aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2097/my_fixed_pkg.vhdl
blob: eee9cde50a0cde863d8f5e05cd202dbc7e0f7a78 (plain)
1
2
3
4
5
6
7
library IEEE;
context IEEE.IEEE_std_context;

package my_fixed_pkg is new IEEE.fixed_generic_pkg;

--!