aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2091/test.vhdl
blob: 99c13af52b8a8e68e6de38be2ddcc10f7d2031ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.numeric_std.all;

library work;
   use work.log;

entity test is
end entity;

architecture tb of test is
begin
   main : process is
   begin
      wait for 7.5 ns;

      log.logger.set_level(log.TRACE);

      log.trace("TRACE");
      log.debug("DEBUG");
      log.info("INFO");
      log.warn("WARN");
      log.error("ERROR");

      std.env.finish;
   end process;
end architecture;