aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2071/repro.vhdl
blob: aa6bcf1c078d3b4cc74c389d5ddd4f87f6a36651 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity repro is
end;

architecture behav of repro is
  type matrixType is array(natural range <>) of std_logic_vector;
  signal matrix : matrixType(0 to 15)(7 downto 0);

  -- Missing feature:
  signal row1 : unsigned(matrix'element'range);

  -- As a workaround:
  signal row2 : unsigned(matrix(matrix'low)'range);
begin
end behav;