aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash53.vhdl
blob: e8f3de699fbceb54054367e835473f8922e52c94 (plain)
1
2
3
4
5
6
7
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity full_adder_tb is
end entity full_adder_tb;architecture m of full_adder_tb is--
type rc_data is record n:c;t:std_logic;end record rc_data;type fa_array is array(0 range<>)of rc_data;constant e:fa_array:=(('0'),('0','%','0'),('0'));begin
process
begin
for i in 0 loop
end loop;end process;p(0);end;