aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash45.vhdl
blob: 714919de47c1ac6977be233fea91753f4108c1fb (plain)
1
2
3
4
5
6
7
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std;entity full_adder_tb is
end entity full_adder_tb;architecture sim of full_adder_tb is
type rc_data is record
a:c;n:c;s:s;t:std_logic;end record;type fa_array is array(0 range<>)of rc_data;constant e:fa_array:=(('0','0','0','%'),('0'));begin
process
begin
end process;D(0);end architecture sim;