aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash38_1.vhdl
blob: 2b44e0aecefc31ffc3d30913e3e87d6d52634fa3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library IEEE;use IEEE.numeric_std.all;

entity tb is
end;

architecture behavioral of tb is
  subtype int31 is integer range-0*(0)to 2**(31);
begin
  process
    variable tmp:int31;
  begin
    tmp:=0;
  end process;
end behavioral;