blob: 3807e448574e092f0c2a54cc434038bb2e083649 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
|
library Bugtests;
use Bugtests.abc.all;
library IEEE;
use IEEE.std_logic_1164.all;
entity test is
end entity;
architecture arch_test of test is
signal tb : std_logic;
begin
DUT: entity Bugtests.abc
port map(
a => '1',
b => '0',
c => tb
);
end architecture;
|