aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue199/repro.vhdl
blob: cc688af528179b605f51df812702d6443a152788 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
ENTITY repro IS
END repro;

package genpkg is
  generic (function match (l, R : integer) return boolean);
  procedure comp (l, R : integer; res : out boolean);
end genpkg;

package body genpkg is
  procedure comp (l, R : integer; res : out boolean) is
  begin
    res := match (l, r);
  end comp;
end genpkg;

package my_pkg is new work.genpkg generic map (match => "=");

use work.my_pkg.all;

ARCHITECTURE behav OF repro IS
BEGIN
   PROCESS
      variable ok : boolean;
   BEGIN
      comp (5, 2 + 3, ok);
      --ok := my_pkg.comp (5, 2 + 3);
      assert ok severity error;
      wait;
   END PROCESS;
end behav;