blob: 3689851f4908f8253366a51b47ec4bf343721101 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
|
library IEEE;
use IEEE.std_logic_1164.all;
entity tb_delayline1d is
generic (
delay : natural := 10
);
end entity;
architecture rtl of tb_delayline1d is
signal clk : std_logic := '0';
signal i, o : std_logic;
begin
-- 100 Mhz clock
process
begin
for k in 1 to 5 loop
clk <= not clk;
wait for 5 ns;
end loop;
wait;
end process;
-- Device Under Test
c0: entity work.delayline1d(rtl)
generic map (
delay => delay
)
port map (
clk => clk,
i => i,
o => o
);
end architecture;
|