blob: e1540e55137eac64f20b08b243f80233034c007d (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_timing.all;
use IEEE.VITAL_primitives.all;
entity test is
port (
CLK : in std_logic_vector(1 downto 0)
);
attribute VITAL_LEVEL0 of test : entity is TRUE;
end test;
architecture VITAL_ACT of test is
begin
process
begin
wait;
end process;
end architecture;
|