aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1765/ent93.vhdl
blob: 3ac35929973cbaaa149bac00b9e9b12f6e4bb1d9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

--use work.TestPkg.all ;

entity test is
  port(
    input  : in  unsigned ;
    output : out unsigned 
  );
end entity;

architecture rtl of test is
alias A is Output ; -- does not work
--  alias A : unsigned(output'range) is Output ;  -- Works
--  alias A : output'subtype is Output ;  -- Works

begin
  A <= (output'range => '0') ;
  
  process 
  begin 
    wait on input ;  -- Suppress first run
--    report "input = " & to_hstring(input) ; 
  end process ; 
  
end architecture ;