blob: 1fbb98238292ab8fe69440e153f3baab9b657218 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity testcase is
generic ( DATA_WIDTH : natural := 32 );
port (
ce : in std_logic;
clk : in std_logic
);
end entity testcase;
architecture behaviour of testcase is
signal reg_tmode : unsigned(1 downto 0) := "00";
begin
end behaviour;
|