aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1759/repro.vhdl
blob: a4a932531a47c1726d8fc6dcc90b8689eea8b24e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity repro is
end;

architecture behav of repro is
  signal s : std_logic := '0';
begin
  process
  begin
    for v in std_logic loop
      s <= v;
      wait for 1 ns;
    end loop;
    wait;
  end process;
end behav;