aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1757/testm.vhdl
blob: 0b23642fd289455ca3a3a28ac944e20c0cac975c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
library ieee;
use ieee.std_logic_1164.all;

entity testm is

port (clk : in std_logic;
  data : in std_logic_vector(2+1 downto 0);
  q1 : out std_logic_vector(3 downto 0)
  );
end testm;

architecture rtl of testm is

-- img_log2 function
  function tlog2(d : positive) return natural is
    variable tmp : positive;
  begin
    tmp := 1;
    for count in 0 to d loop
      if (tmp >= d) then
        return count;
      end if;
      tmp := tmp*2;
    end loop;
    return d;
  end;

  constant SBITS : integer := tlog2(16);

  signal fred : std_logic_vector(SBITS - 1 downto 0);

begin

  fred <= data;

  process (fred)
  begin
    case (fred(1 downto 0)) is
      when "00" =>
        q1 <= data;

      when "01" =>
        q1 <= "0000";

      when "10" =>
        q1 <= data;

      when others  =>
        q1 <= "1111";
    end case;
  end process;
end rtl;