aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1736/ent.vhdl
blob: 2f1cc36256e866d3c9496d1c446eef2f4a9c3ae1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
context ieee.ieee_std_context;

library mylib;
use mylib.fifo;

entity ent is
  generic ( gen : integer := 0 );
end ent;

architecture arch of ent is
begin
  fifo: entity mylib.fifo generic map ( gen  );
end architecture;