aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1727/ent.vhdl
blob: 2ab6defc3bba5e5e6de63344a9b60af0ede2b77a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity ent is
end entity;

architecture beh of ent is
type t_type is (NAME1, NAME2);
begin
  process
    constant c_string : string := "something";
  begin
    report "Length is " & to_string(c_string'lenght);
    report "Length is " & to_string(t_type'image(NAME1)'lenght);
    wait;
  end process;
end architecture beh;