aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1724/const_test_vunit.vhdl
blob: 71504912007560a80481229358cea5d5d8cdfc26 (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

vunit const_test_vunit (const_test(rtl))
{
    constant depth : positive := 2**addr_width;
}