aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1724/const_test.vhdl
blob: 0a18e5b0124e04cd73da7dcf1c13785f78981bc4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity const_test is
    generic (
        addr_width : positive := 5
    );
    port (
        clk : in std_logic
    );
end entity;

architecture rtl of const_test is
begin
end architecture rtl;