aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1721/top1.vhdl
blob: 821e1ba91dcede1a4d59c5b0dc48c8e08ecfd614 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;

entity top1 is
end entity;

architecture a of top1 is
    signal a,b,c,d : std_logic := '0';
    signal clk_sys, clk1, clk2 : std_logic;
begin

  -- Following throws bug occured with:
  --  "psl.sem_property: cannot handle N_CLOCKED_SER"
  -- Clocked SERE shall be allowed according to 6.1.1.1 of PSL LRM 2003
  -- psl my_seq : assert never {a;b;c} @ rising_edge(clk_sys);
end a;