aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1715/mwe-repro.vhdl
blob: 5845538c42cb97825807568fb8a12746ee576cc0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
entity comp1 is
  port (
    a_i  : in bit_vector(3 downto 0)
  );
end entity;

architecture arch of comp1 is
begin
end arch;

entity mwe is
end entity;

architecture arch of mwe is
  signal clk : bit := '0';
  signal a   : bit_vector(3 downto 0);
begin
  process
  begin
    wait until clk'stable;
  end process;

  x_comp1 : entity work.comp1
    port map (a_i  => a or a);
end arch;