aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1672/test.vhdl
blob: c3fc5f13fc62d67c1ce2a2da4c83a9808df575e8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity test is
end entity;

architecture a of test is

  constant num_ports : positive := 4;
  signal clock : std_logic := '0';

begin

  dut_inst : entity work.dut
    generic map (
      num_ports => num_ports
    )
    port map (
      clocks => (others => clock)
    );

end architecture;