aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1631/bug4.vhdl
blob: ae9f01ca2373cb6f0bf2d84be4032a573c8f6e31 (plain)
1
2
3
4
5
6
7
8
entity bug3 is
end;

architecture behavior of bug3 is
  constant c : string := "hello";
begin
    c(1 downto 0);
end behavior;