aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue163/qualified_expr.vhdl
blob: 455bb0a2cd1ed8eef43ebe9d9ef979a7467e08ee (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity qualified_expr is
    port (
        X       : in    std_logic_vector(7 downto 0);
        CIn : in    std_logic;
        Y       : out   std_logic_vector(7 downto 0)
    );
end entity;


architecture rtl of qualified_expr is
begin
    -- analyze error with GHDL
    Y <= std_logic_vector(unsigned(X) + unsigned'((0 to 0 => CIn)));

    -- analyses with GHDL but not with other tools
    --Y <= std_logic_vector(unsigned(X) + unsigned'(0 to 0 => CIn));
end architecture;