aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1597/repro.vhdl
blob: 2789bf61f0b628d291f15e7a489d2de2f9b543cd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity repro is
  port (b : bit_vector (7 downto 0));
end;

architecture behav of repro is
begin
  process
  begin
    for i in b'range loop
      if b(i)'active then
        report "active";
      end if;
    end loop;
    wait for 1 ns;
  end process;
end behav;