aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue158/repro.vhdl
blob: ce7cd41802ab3f66c078cf82cabae6926944c9c9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity repro is
end repro;

architecture behav of repro is
begin
  Genf: for i in 1 to 2 generate
  begin
     blk : block
     begin
     end block;
  end generate;

  geni : if true generate
  begin
     blk : block
     begin
     end block;
  end generate;
end behav;