aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1514/ent.vhdl
blob: 1194188344c6e820cb8a259406b04e3446922eb2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
entity ent is
end;

architecture a of ent is
    procedure p is
        type t is (A);
    begin
        for i in t loop
        end loop;
    end;
begin
end;