aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1485/e.vhdl
blob: 139defc47981dcdad01ec4bfdcc34a864cc99cda (plain)
1
2
3
4
5
6
7
8
9
10
library ieee;
use ieee.std_logic_1164.all;

entity e is
    generic (file f : integer);
end entity;

architecture a of e is
begin
end architecture;