aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1474/ent2.vhdl
blob: e307a1cd9dccfd49820748c09786907294ef4ca6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
library ieee;
use ieee.std_logic_1164.all;

package pkg is

	type vector_array_t is array(natural range <>) of std_logic_vector;
	
	function concatenate(arr : vector_array_t) return std_logic_vector;
end package;

package body pkg is

    function concatenate(arr : vector_array_t) return std_logic_vector is
		constant ARR_SIZE : natural := arr'length;
		constant VEC_SIZE : natural := arr(arr'low)'length;
		variable ret : std_logic_vector(ARR_SIZE * VEC_SIZE - 1 downto 0);
	begin
		for r in arr'range loop
			ret((r+1) * VEC_SIZE - 1 downto r * VEC_SIZE) := arr(r);
		end loop;
		return ret;
	end function;

end package body;
library ieee;
use ieee.std_logic_1164.all;

library work;
use work.pkg.all;

entity ent2 is
end entity;
    
architecture a of ent2 is
	signal test : vector_array_t(7 downto 0)(7 downto 0);
	signal test2 : std_logic_vector(63 downto 0);

begin
	test2 <= concatenate(test);
end;