aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1469/ent.vhdl
blob: 7cdbd1e1827693ca6c7f048cc65668e81b26886a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end;

architecture arch of ent is

    procedure f(a : std_logic_vector(open)) is
    begin
        a(a'high) <= a-1;
    end procedure;

begin
end;